ultraedit 怎么设置语法高亮
在Ultraedit中设置需要的语法高亮显示(参考版本Ultraedit-20.00.0.1052)
打开菜单:高级—配置—编辑器显示—语法高亮,可以看到当前支持的语法高亮配置文件的目录,如
C:\Documents and Settings\Administrator\Application Data\IDMComp\UltraEdit\wordfiles
打开该目录,可以看到每个语法高亮配置对应一个.uew文件。
下载需要的语法高亮配置文件:
首先在官方网站下载有关语言的语法文件,这里我推荐下载全部(http://www.ultraedit.com/files/wf/wf.zip),也仅仅只有不到两兆,便于我们以后扩展。解压压缩包,将取所需的语法高亮配置文件拷贝到wordfiles。重新打开UltraEdit,在菜单“视图—查看方式”下,能看到新添加的语法高亮配置。
如何使UE具有语法高亮功能
在安装UE之后,根据版本的不同,很有可能你的UE并不具备语法高亮功能.看着平淡的界面,心里只有不爽.其实只需简单设置就可以达到目的.
1 首先下载wordfile.txt,这里以需要高亮显示c/c++文件为例,在连接页面上找到c.txt,将其内容copy到本地目录:C:\Program Files\UltraEdit中,如果文件较多,可以用cat命令导入;
2 导入后,还要将第一句"/L20"(默认)改为"/L1",如果还需要加入其它语言,这个数值依次变化;
3 然后在UE工具栏中选:高级->配置->编辑器显示->语法加亮(这个是中文版的),在"此法列表的完整路径"中选择"C:\Program Files\UltraEdit\wordfile.txt",这时候在"语言"中出现"c/c++",选择,字体样式中也选择"c/c++";
4 在UE工具栏中选:视图->查看方式(着色文件类型)->c/c++(如果没有进行前面的配置或配置错误,这里是"语言1");
OK,大功告成,打开一个c/c++文件试试吧
对UltraEdit增加新的语法高亮,该怎么解决
在默认状态下,貌似UE并不支持Shell的语法高亮,经过下面的一番设置就可以了,操作很简单,几步就可以搞定,成本开销很小,还是设置一下吧。
首先,需要安装了UltraEdit,这是废话,没有UE怎么高亮shell语法。
其次,从网上下载这个文件:ALL WORDFILES IN ONE PACKAGE
最后,在UE打开的状态下,(1)点击菜单“高级”-“配置”,打开配置对话框。在导航一栏,点击“编辑器显示”----“语法高亮”,在右侧“词语列表完整路径”处点击“打开”按钮。此时打开了“C:\Users\Administrator\AppData\Roaming\IDMComp\UltraEdit\WORDFILE.UEW”。即这个目录下的*.urtaledit wordfile。 这里的路径依自己的电脑而有别。这里只管点击打开按钮即可。(2)将上面从网上下载的扩展文件包中的unixshell.uew的内容附加到上面打开的文件中,然后保存;这时打开的shell文件就已经可以高亮显示了,shell文件中的一些关键字已经以其他颜色显示了,是不是觉得好看了很多?
注:从网上下载的包有很多支持的格式,例如python什么的,都可以通过这种方式进行设置。
如何实现word或是wps里面的代码高亮
写实验报告,或是在写一个关于一些含有代码的文档的时候,我们常常会需要在里面加一些代码,但是黑白的代码难免影响代码的美观和形式。
这里给出一些实现高亮代码到三种方法。方法一:第一种方法是直接给wps安装插件,从而实现高亮代码显示,在WPS官网下载语法高亮插件。
http://www.wps.cn/addons/ThreadView/wdid-6538.htm方法二:第二种方法是借助Notepad++首先是下载Notepad++,这是下载地址,当然也可以百度一下Notepad++下载安装。http://notepad-plus.sourceforge.net/tw/site.htm安装完成后把需要的代码复制到Notepad++里面,当然首先可以设置一下代码的类别在语言栏里面设置:在Notepad++上,选择“插件——NppExport——Copy RTF to clipboard”标签,复制该代码然后到wps里面选择性张贴的时候选择RFT格式粘贴就好。
方法三:第三种方法是针对Eclipse编译器来说的,如果使用的是Eclipse编辑Java代码,有时候直接拷贝代码就可以实现代码的高亮,但是有时候不能实现高亮就需要设置一下设置方法如下:打开windows里面的prefrences。输入floding搜索,打开java->Edotor->floding。
进行如下操作OK,复制过去就是高亮的代码。
如何用ultraedit高亮语法显示verilog
在wim7下安装了ultraedit,用来编辑verilog时看上去都是灰灰的,于是google了一下,发现只要把一段模板放在wordfile文件里就可以了,但是把模板代码放入ultraedit下安装目录中的 wordfilet中后打开verilog还是没有语法高亮显示。
于是自己看了下configuration里面的设置,果然 被我发现在syntax highlighting 里面有设置wordlist文件的路径,根本不是安装路径下的那个wordfile,把模板代码放入正确的文件果然有语法高亮了。打开ultraedit,查看高级/配置/编辑器显示/语法高亮,就可以看到正确目录了。
还要注意的是,wim7 下C盘的appdata文件夹默认隐藏的,所以直接搜索C:\Users\asus\AppData就可以找到。QQ截图未命名.png下面贴一下模板代码,放入wordfile的最后面就可以了,中第一行L后面的数字代表序号,要保证新添加的值是最大的,比如上一个L后面是13,那你添加的就写成14,如果以后还有新的格式就写成15,如此类推。
wordfile里的文件都是.uew文件。/L14″Verilog 1364-2001″ Line Comment = // Block Comment On = /* Block Comment Off = */ String Chars = ” File Extensions = V VL VMD/Delimiters = ~!@%^&*()-+=|\/{}[]:;” , .?#/Function String = “%[a-z0-9]+[ ^t]+[a-z_0-9]+[ ^t]+(”/Indent Strings = “begin” “fork” “specify” “config“/Unindent Strings = “end” “join” “endspecify” “endconfig”/C1″Keywords”always and assign automaticbegin buf bufif0 bufif1case casex casez cell cmos configdeassign default defparam design disableedge else end endcase endconfig endmodule endfunction endgenerate endprimitive endspecify endtable endtask eventfor force forever fork functiongenerate genvarhighz0 highz1if ifnone initial inout input instance integerjoinlarge liblist library localparammacromodule medium modulenand negedge nmos none nor noshowcancelled not notif0 notif1or outputparameter pulsestyle_onevent pulsestyle_ondetect pmos posedge primitive pull0 pull1 pullup pulldownreal realtime reg release repeat rcmos rnmos rpmos rtran rtranif0 rtanif1scalared showcancelled signed small specify specparam strength strong0 strong1 supply0 supply1table task time tran tranif0 tranif1 tri tri1 tri0 triand trior triregusevectoredwait wand weak0 weak1 while wire worxnor xor/C2″System”** 'b 'B 'o 'O 'd 'D 'h 'H 'sb 'sB 'so 'sO 'sd 'sD 'sh 'sH 'Sb 'SB 'So 'SO 'Sd 'SD 'Sh 'SH** _$async$and$array $async$and$plane $async$nand$array $async$nand$plane $async$nor$array $async$nor$plane $async$or$array $async$or$plane$bitstoreal$countdrivers$display $displayb $displayh $displayo$dist_chi_square $dist_erlang $dist_exponential $dist_normal $dist_poisson $dist_t $dist_uniform$dumpall $dumpfile $dumpflush $dumplimit $dumpoff $dumpon $dumpportsall $dumpportsflush $dumpportslimit $dumpportsoff $dumpportson $dumpvars$fclose $fdisplayh $fdisplay $fdisplayf $fdisplayb $ferror $fflush $fgetc $fgets $finish $fmonitorb $fmonitor $fmonitorf $fmonitorh $fopen $fread $fscanf $fseek $fsscanf $fstrobe $fstrobebb $fstrobef $fstrobeh $ftel $fullskew $fwriteb $fwritef $fwriteh $fwrite$getpattern$history $hold$incsave $input $itor$key$list $log$monitorb $monitorh $monitoroff $monitoron $monitor $monitoro$nochange $nokey $nolog$period $printtimescale$q_add $q_exam $q_full $q_initialize $q_remove$random $readmemb $readmemh $readmemh $realtime $realtobits $recovery $recrem $removal $reset_count $reset $reset_value $restart $rewind $rtoi$save $scale $scope $sdf_annotate $setup $setuphold $sformat $showscopes $showvariables $showvars $signed $skew $sreadmemb $sreadmemh $stime $stop $strobeb $strobe $strobeh $strobeo $swriteb $swriteh $swriteo $swrite $sync$and$array $sync$and$plane $sync$nand$array $sync$nand$plane $sync$nor$array $sync$nor$plane $sync$or$array $sync$or$plane$test$plusargs $time $timeformat $timeskew$ungetc $unsigned$value$plusargs$width $writeb $writeh $write $writeo/C3″Operators”->+:-:@@**>,;{}+-// /***%>>=>>>>> 评论0 0 0。